Checkout Tools
  • last updated a few seconds ago
Constraints
Constraints: committers
 
Constraints: files
Constraints: dates

Creating "In-Portal" module for versions before 5.0.0.

    • -1068
    • +0
    ./general/cat_dbitem_export.php
    • -451
    • +0
    ./general/helpers/permissions_helper.php
    • -109
    • +0
    ./visits/visits_event_handler.php
  1. … 5844 more files in changeset.

reversing changes from RC to RC_mar07_1 and saving back to RC

  1. … 30 more files in changeset.

#16502 - mysql 5 compatibility

  1. … 17 more files in changeset.

1. new event status: erSTOP to skip parsing template (used for AJAX responces for progress bar)

2. changed for links, products import/export progressbars

3. *_progress_xml.tpl removed (except for orders)

  1. … 6 more files in changeset.
      • empty log message ***
  1. … 17 more files in changeset.

This commit was manufactured by cvs2svn to create branch 'unlabeled-1.17.2'.

  1. … 5 more files in changeset.

inb: 846 - users (head link)

  1. … 4 more files in changeset.

"u_id" renamed to "u.current_id". for "registration" & "edit profile" forms var "u_id" has been leaved on front only

  1. … 20 more files in changeset.

This commit was manufactured by cvs2svn to create branch 'unlabeled-1.17.2'.

  1. … 2 more files in changeset.
      • empty log message ***
    • -4
    • +2
    ./general/helpers/permissions_helper.php
  1. … 24 more files in changeset.
      • empty log message ***
    • -15
    • +20
    ./general/helpers/permissions_helper.php
  1. … 17 more files in changeset.
      • empty log message ***
    • -1
    • +35
    ./general/helpers/permissions_helper.php
  1. … 2 more files in changeset.

pending editing (not ready yet)

    • -11
    • +48
    ./general/helpers/permissions_helper.php
  1. … 26 more files in changeset.

This commit was manufactured by cvs2svn to create branch 'unlabeled-1.17.2'.

    • -0
    • +377
    ./general/helpers/permissions_helper.php
  1. … 2 more files in changeset.

mb: 12629

  1. … 6 more files in changeset.

mb: 11260

  1. … 1 more file in changeset.
      • empty log message ***
  1. … 4 more files in changeset.

This commit was manufactured by cvs2svn to create branch 'unlabeled-1.17.2'.

  1. … 2 more files in changeset.

This commit was manufactured by cvs2svn to create branch 'unlabeled-1.17.2'.

    • -0
    • +1036
    ./general/cat_dbitem_export.php
  1. … 18 more files in changeset.